CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL d

搜索资源列表

  1. D

    0下载:
  2. 这是一个用VHDL实现一个D触发器的程序-This is a VHDL implementation of a D flip-flop process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:242398
    • 提供者:joke
  1. simple_fm_receiver_latest.tar

    1下载:
  2. 用FPGA实现简单的FM接收机,d/a模块用扬声器-FPGA implementation using a simple FM receiver, d/a module with speaker
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1580569
    • 提供者:张昆
  1. D

    0下载:
  2. 数字电子电路中的D触发器的VHDL的实现-Digital electronic circuits in the D trigger VHDL realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:224161
    • 提供者:阿杰
  1. VHDL

    0下载:
  2. eda开发中认识各种d触发器的功能程序-d triggers development of eda function program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:127283
    • 提供者:as
  1. VHDL-simple-examples

    1下载:
  2. 上传的几个VHDL程序:分别是各种功能计数器;使用列举类型的状态机,四D触发器,通用寄存器,伪随机比特发生器,简单的状态机。-Upload several VHDL program: are the various functions of the counter using the enumerated type state machine, four D flip-flop, the general-purpose registers, pseudo-random bit generato
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:5013
    • 提供者:张俊
  1. csvd-d

    0下载:
  2. vhdl implementation of pong
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:681
    • 提供者:Abdul
  1. TLC5510-VHDL

    0下载:
  2. 基于VHDL语言,实现对高速A/D器件TLC5510控制-Based on the VHDL language, to achieve high-speed A/D devices TLC5510 control
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-03
    • 文件大小:3314
    • 提供者:wu
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. vhdl

    0下载:
  2. library ieee use ieee.std_logic_1164.all entity decoder is port (clk:in std_logic clr:in std_logic data_in:in std_logic --待解码信元输入端; data_out:out std_logic) --解码信元输出端; end decoder architecture behave of decoder is component dff2
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:378333
    • 提供者:刘轩赫
  1. D-trigger

    0下载:
  2. FPGA/CPLD开发,基于VHDL语言的D触发器的实现-FPGA/CPLD development, based on VHDL implementation of the D flip-flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:213981
    • 提供者:刘志芳
  1. VHDL-Code-For-BCD-To-Excess3--Code-Converter-By-D

    0下载:
  2. VHDL Code For BCD To Excess3 Code Converter By Data Flow Modelling-VHDL Code For BCD To Excess3 Code Converter By Data Flow Modelling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:38522
    • 提供者:rik
  1. d_latch

    0下载:
  2. 使用VHDL编写的D触发器的简单程序,实现其功能-Simple and practical program written in VHDL D flip-flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2602671
    • 提供者:周杨鹏
  1. 1.2Register-VHDL-and-testbench

    0下载:
  2. 用d type flip flop 改成的n bit 的寄存器,分别用到了同步和异步2种方式-With d type flip flop into the n bit registers were used in the synchronous and asynchronous 2 ways
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:55573
    • 提供者:young
  1. pseudo-sequence-vhdl

    0下载:
  2. 常用的几种伪随机序列的仿真及性能分析,进而运用组合序列的思想,尝试不同的序列以不同组合方式生成的新的伪随机序列,并用FPGA分析其性能,得出组合序列的一般的规律,借此推导出了一种新的组合序列——异族Gold组合序列。-Through simulation and performance analysis of several commonly use¬ d pseudo-random sequence in the FPGA environment, use the ideas of c
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-14
    • 文件大小:3838
    • 提供者:杨远望
  1. VHDL-Language-Tutorial

    0下载:
  2. VHDL语言入门教程,详细介绍了VHDL的语法、如何使用及具体应用,适合研发人员入门及后期学习~-VHDL Language Tutorial, details the VHDL syntax, how to use and specific applications for R & D personnel entry and post-learning ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2837406
    • 提供者:王同同
  1. ReadWrite-RAM-VHDL-source-code

    0下载:
  2. This page of VHDL source code covers read RAM and write to RAM vhdl code. RAM stands for Random Access memory.It is a form of data storage for various applications. 1K refers 10 lines used for Address bus (as 2^10=1024) 8 refers Data Bus
  3. 所属分类:Education soft system

    • 发布日期:2017-04-11
    • 文件大小:871
    • 提供者:ss
  1. N-DtoA-VHDL-AMS

    0下载:
  2. 下面是一个混合信号的例子,是一个N位D/A转换器的VHDL-AMS描述-The following is an example of a mixed signal that is a N bit D/A converter described in VHDL-AMS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6746
    • 提供者:杜子腾
  1. D-FLIP-FLOP

    0下载:
  2. vhdl programme of d flip flop
  3. 所属分类:assembly language

    • 发布日期:2017-05-01
    • 文件大小:299807
    • 提供者:shobi khan
  1. Compteur_VHDL

    0下载:
  2. VHDL code of a counter Code VHDL d un compteur
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:572
    • 提供者:Ibel
  1. project.map

    0下载:
  2. D Flip Flop for Single Bit Store
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:3072
    • 提供者:dsddse11
« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com